EmbDev.net

Topics in all forums



Subject Author Replies Last post
IoT Hackathon - Integrate Everything with IOTA DANIEL D. 2
Battery Management System Design Moi 10
beginner question on gate level d flip flop simulation Jimmy Z. 1
ice40HX8k enable signal from clock Fabian 1
How to properly multiply signed and unsigned signed unsigned 1
AVR clock prescaler (CLKPR) and gcc code optimization Peter-Jan Peters 13
Synthesis: Mix of sync and async assignments to register if else what when 5
Determining trace delay for input delay constraints Timing violation 13
SN74AHC 14 - LTspice Setup DoKfROG 4
Hardware-independent µC core? Matthias U. 5
Transistor tester program error Cafeee S. 1
Voltage drop consequences in led strips fuberator 2
Enhanced Tiger Single Board Computer Myron P. 3
Opamp in an audio circuit Luca 20
Getting to the Root Cause of BGA Assembly Problems smartronics 1
How powerful is Verilog at using parameters to specify designs? Kevin S. 0
Quiet servo Motors with high Torque Lukas Schmidt-Wiegand 3
How to read unknown SPI flash? ATm 0
warning: Static variable initialization requires explicit lifetime in this context Kevin S. 2
Serializer verilog Atalin 9
How not to fry an oscilloscope on old equipment? Barny_G. 4
Error in Loading Design NAZMUL HASAN 1
Input/feedback regarding desing using statemachine (VHDL) Lu F. 3
CodeVision AVR problem Real M. 2
identify the µC of a LCU? Michael B. 1
Gray counter verilog Gio97 6
What file suffix is usually used for the filename following a -o? Kevin S. 1
Is there anything beyond the Palnitkar book? Kevin S. 2
The use of the diode in this circuit Alex 7
LED controlling protocol like WS2812 for more colors Shum1962 4
Right shift with VHDL Alex 7
Pulse generation Lê Q. 7
Can anyone explain "cannot currently create a parameter of type" compilation error message? Kevin S. 0
In Verilog, why can't I compare my (genvar) with an integer value in my (for) loop? Kevin S. 3
Why can't I set a (genvar) outside the control section of a loop? Kevin S. 4
locked Dim LED and bicycle light John C. 1
Can a function take a boolean argument? Kevin S. 5
Is it illegal to use an (enum) as a function input? Kevin S. 0
Having trouble understanding warnings and syntax errors in my Verilog. Kevin S. 2
How do I declare a packed array in Verilog? Kevin S. 3
Implement a VHDL program using with select for PAL James 2
IEE1901 adapter for connection of a network camera via koax ThomasR 1
Cannot get icarus to recognize enum or struct. Kevin S. 2
Search for best processor long term data logging Dirk 28
Free workshop materials: Integrating ARM Cortex M Processors into Xilinx FPGAs Alex W. 6
Double registering SparkyT 4
Step-by-Step Xilinx Vitis Getting Started Guides Alex W. 0
Some huge problems paralleling MOSFETs (Linear Power Supply) Mathias 23
ISE synthesis warning changseon 1
How do I connect a battery to this step down power supply module? A. D. (WhiteKnight) 15
Booth Multiplier Verilog code not working Prabhanshu 6