EmbDev.net

Forum: FPGA, VHDL & Verilog Error in Loading Design


von NAZMUL HASAN (Guest)


Attached files:

Rate this post
useful
not useful
Error messages show: [ModelSim PE Student 10.4a] kind help is 
appreciated

# vsim -gui
# Start time: 22:41:25 on Sep 10,2020
# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading ieee.numeric_std(body)
# Loading ieee.std_logic_textio(body)
# Loading work.aac2m1p1_tb(behavioral)
# ** Error: (vsim-3173) Entity 
'C:/Modeltech_pe_edu_10.4a/CE-VErilogFpga/work.comparator2' has no 
architecture.
#
# Load interrupted
# Error loading design

von -gb- (Guest)


Rate this post
useful
not useful
Your comparator2 has no architecture description.

Post your code for more help.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.