EmbDev.net

Forum: FPGA, VHDL & Verilog Raspberry Pi XDC-File vivado


von Beruk (manitou)


Attached files:

Rate this post
useful
not useful
Hi everyone, I would like your help regarding the xdc file of a project 
for handling a double input ADC. For testing purposes, I'm testing only 
one input first, then I'll test both. When running Generate Bitstream I 
get the following error message.

Error: [DRC UCIO-1] Unconstrained Logical Port: 12 out of 22 logical 
ports have no user assigned specific location constraint (LOC). This may 
cause I/O contention or incompatibility with the board power or 
connectivity affecting performance, signal integrity or in extreme cases 
cause damage to the device or the components to which it is connected. 
To correct this violation, specify all pin locations. This design will 
fail to generate a bitstream unless all logical ports have a user 
specified site LOC constraint defined.  To allow bitstream creation with 
unspecified pin locations (not recommended), use this command: 
set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When 
using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add 
this command to a .tcl file and add that file as a pre-hook for 
write_bitstream step for the implementation run.  Problem ports: 
Dout[9:0], CLK, and CLK_out.




I hope to be able to connect the PYNQ Z2 with my Eval-Borad LTC2287 via 
the Pynq Z2's RPi inputs.

: Moved by Moderator
von Peter (pittyj)


Rate this post
useful
not useful
Zumindest CLK sollte man schon verdrahten. Sonst passiert gar nichts.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Beruk schrieb:
> I get the following error message
1
 This design will fail to generate a bitstream 
2
 unless all logical ports have a user specified 
3
 site LOC constraint defined.
4
 Problem ports: Dout[9:0], CLK, and CLK_out.
1. Start the thread in the englisch spoken embdev.net
2. Read the error report and act according to it: assign the 
ADC_Interface ports to the corresponding FPGA pins

: Edited by Moderator
Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.