EmbDev.net

Forum: FPGA, VHDL & Verilog running a simulation with microblaze


von pete (Guest)


Rate this post
useful
not useful
I am trying to simulate a micro blaze microprocessor demo design with 
ram and ports in Modelsim. For XSIM in Xilinx Vivado environment it 
allows to synthesize and implement. My problem is to start the 
simulation:

I have exported the scripts from Vivado and got a folder exportsim / 
modelsim, where I expected a do file to be used with ModelSims TCL 
window.

In fact there is a script with extension *.sh wich I do not know how to 
start

The readme says: "run ./demo.sh" but this does not work.
Leaving away the . or changing \ also has to result.

Anyone has an idea how to start this script?

It looks like this:
1
# Script info
2
echo -e "demo.sh - Script generated by export_simulation (Vivado v2021.1 -id)\n"
3
4
# Main steps
5
run()
6
{
7
  setup
8
  compile
9
  simulate
10
}
11
12
# RUN_STEP: <compile>
13
compile()
14
{
15
  source compile.do ..
16
}

So there are some known commands, but i never had used an sh before.

From a search I found that this might by a bash script and can be 
executed by "exec bash" but i did not get it running.

So far I know this is unix shell. Is that correct, that Vivado exports 
only for unix style?


Ideas welcomed.

von Duke Scarring (Guest)


Rate this post
useful
not useful
Looks like TCL-script for me...

von pete (Guest)


Rate this post
useful
not useful
Forgot to mention: I am using Windows only and Vivado and ModelSim both 
installed on the Win PC / Win10.

From another webpage I picked this:

Modelsim runs TCL. Bash would need to be handed over to the OS. tcl has 
an "exec" command that passes instructions to the OS.

So how would be the correct phrase to run the simulation from the 
>ModelSIM prompt?

Duke Scarring wrote:
> Looks like TCL-script for me...

How can i execute it?

ModelSim does not accept the code

./demo
# invalid command name "./demo"


do demo
# Cannot open macro file: board

do demo.sh
# -e demo.sh - Script generated by export_simulation
#
# ** Error: invalid command name "run()"
# Error in macro ./demo.sh line 36
# invalid command name "run()"
#     while executing
# "run()"

von Duke Scarring (Guest)


Rate this post
useful
not useful

von Broccoliliving B. (Company: word wipe) (broccoliliving)


Rate this post
useful
not useful
Duke Scarring wrote:
> Try exec:
> https://www.tcl.tk/man/tcl8.5/tutorial/Tcl26.html https://eggycar.net/

Thanks for your guidance, this is exactly what I was looking for. thank 
you very much

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.