EmbDev.net

Forum: FPGA, VHDL & Verilog Incrementer VHDL


von Engin (Guest)


Rate this post
useful
not useful
Hello everyone,

I'm new at this job. How can I write 14-bit incrementer VHDL code? Can 
you help me?

von hard working hardware engineer (Guest)


Rate this post
useful
not useful
Engin wrote:
> How can I write 14-bit incrementer VHDL code? Can
> you help me?

Yes I can. But I'd be a fool if I did your job for free.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Engin wrote:
> How can I write 14-bit incrementer VHDL code?
Somehow like this:
1
entity incr is
2
  port( inval  : in  std_logic_vector(13 downto 0);
3
        outval : out std_logic_vector(13 downto 0));
4
end entity;
5
6
architecture rtl of incr is
7
begin
8
  outval <= inval + 1;
9
end architecture;

Is that similar to what you understand by "incrementer"? If your 
expectations are different: what is different?

> Can you help me?
All in all: we will help you with your homework, no problem. But you 
must start with something. Just whining "please give me the code" will 
not lead to a result.

> I'm new at this job
Everybody was. Exercising and learning is the way to knowledge.

: Edited by Moderator
von Hansdampf (Guest)


Rate this post
useful
not useful
Engin wrote:
> Hello everyone,
>
> I'm new at this job. How can I write 14-bit incrementer VHDL code? Can
> you help me?

Perhaps search for another job ...

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.