EmbDev.net

Forum: FPGA, VHDL & Verilog Programming OR and XNOR with 4 inputs using functions


von NMV (Guest)


Rate this post
useful
not useful
Im really stumped in this one, how does one program an OR gate using 
generic functions, safe to say I'm really new to the VHDL programming 
world.
Help would be very much appreciated!

:
von Duke Scarring (Guest)


Rate this post
useful
not useful
What generic functions are available to you?

Duke

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
NMV wrote:
> how does one program an OR gate using generic functions
This is the most generic way, almost 100% of the synthesizers understand 
it:
X <= A or B or C or D;

> Help would be very much appreciated!
Whats your actual problem?

: Edited by Moderator
Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.