EmbDev.net

Forum: FPGA, VHDL & Verilog high impedance use others


von Daniel C. (Company: NON) (r_daniel)


Attached files:

Rate this post
useful
not useful
Hello everyone, I would like our  help - I try to put a high impedance 
on the single using the "others" command but it does not work, from what 
I understand the single should be set as inout.
But I defined the single as an "insysteam" single.

von Daniel C. (Company: NON) (r_daniel)


Rate this post
useful
not useful
if (Output_Enable ='1') then Q <= "ZZZZZZZZZZZZZZZZZZZZZZZZ";

to

if (Output_Enable ='1') then Q <= others => 'Z';

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Daniel C. wrote:
> but it does not work
What "does not work"? How do you see that it "does not work"?
Which part of the toolchain gives what error?

All in all: where did you find that coding style with an 'event buried 
deeply inside combinatorial logic? Did you check that against the 
synthesizers user guide of your specific toolchain?


BTW: Pls attach code as code and NOT as a picture!!

: Edited by Moderator
Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.