EmbDev.net

Forum: FPGA, VHDL & Verilog ISE synthesis warning


von changseon (Guest)


Attached files:

Rate this post
useful
not useful
I did the synthesis with ISE, but I was warned that 'Underlying logic 
will be removed'.
WARNING:Xst:2972 All outputs of instance <M2> of block <multiply> are 
unconnected in block <encoder>. Underlying logic will be removed.

I didnt solve this problem please help me

thank you for reading

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
changseon wrote:
> All outputs of instance <M2> of block <multiply> are unconnected in
> block <encoder>. Underlying logic will be removed.
I find that message tells very clear whats going on.
Because the synthesizer ignores comments, it sees your designs IO ports 
like that:
1
module encoder(
2
    input start_input,
3
    input stop,
4
    input clk,
5
    output stop_reg,
6
    output reg start_reg
7
);
Thre inputs and two outputs. So it only has to implement hardware for 
the two outputs stop_reg and the start_reg. And getting rid of the 
unnecessary code, this is enough to handle those two outputs:
1
assign stop_reg=stop;
2
3
always@(posedge clk)begin
4
  if(stop)
5
    start_reg<=0;
6
  else
7
    start_reg<=start_input;
8
end
All the other code does not affect any of the outputs of the design, so 
all the other code can be ignored. and thats what the synthesizer 
does...

Conclusion:
Because your design does not have any ouptuts connected to any of the 
internal signals there is no need to implement any internal logic. If 
you want to get hardware, then you have to connect it to the outer world 
somehow.

: Edited by Moderator
Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.