Hi everyone!. In my project I have some moduls (each one has two outputs called calck_out(std_logic) and z_out (std_logic_vector(16bits)). I need to leave only 1 module, just the one that will have clack_out = 1. I have readed abbout wired-and and wired-or but i don't understand that! :C I should to use bus instead of signals?. hoy i can make it? Please help me. if Somebody can explain me: wired-and and wired_or related wit my project at the same time, that will be very usefull. Each one have clack_out and z_out. thanks a lot
1 | component neurona |
2 | Port ( x_in : in STD_LOGIC_VECTOR (15 downto 0); |
3 | proto_in : in STD_LOGIC_VECTOR (1 downto 0); |
4 | izq_in : in STD_LOGIC; |
5 | clack_in : in STD_LOGIC; |
6 | clack_history_in : in STD_LOGIC; |
7 | clk : in STD_LOGIC; |
8 | reset_in : in STD_LOGIC; |
9 | rec_out : out STD_LOGIC; |
10 | der_out : out STD_LOGIC; |
11 | clack_out : out STD_LOGIC; |
12 | clack_history_out: out STD_LOGIC; |
13 | proto_out: out STD_LOGIC_VECTOR(1 downto 0); |
14 | z_out : out STD_LOGIC_VECTOR (15 downto 0)); |
15 | end component; |