EmbDev.net

Forum: FPGA, VHDL & Verilog IP CORES to vhdl by xilinx ISE


von Elico C. (Company: WS) (elico)


Rate this post
useful
not useful
In case of doing a design based on IP cores with XILINX ISE is it 
possible to transform the IP cores to VHDL by the ISE tool ?

Thanks
elico

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Eliahu C. wrote:
> In case of doing a design based on IP cores with XILINX ISE is it
> possible to transform the IP cores to VHDL by the ISE tool ?
Third party IP cores usually are provided as a unreadable binary 
netlist. You only get a wrapper to connect the ports of the IP core to 
your design. And even when you could transform it to VHDL does not mean 
that you could implement it again the very same way to get a running 
design again...

> is it possible
To keep things short: No.
Or can you transform a scrambled egg back to its original form?

von Elico C. (Company: WS) (elico)


Rate this post
useful
not useful
And if the IP cores come from the XILINX software itself ?

Elico

von Duke Scarring (Guest)


Rate this post
useful
not useful
Eliahu C. wrote:
> And if the IP cores come from the XILINX software itself ?
Even then.

Particulare cores are readable (mig), but others not.

Duke

von Elico C. (Company: WS) (elico)


Rate this post
useful
not useful
thanks

Elico

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.