EmbDev.net

Forum: FPGA, VHDL & Verilog modify vdhl code to use t flip flops to blink 4 led's


von Nick D. (ufcking18)


Attached files:

Rate this post
useful
not useful
I have this code, but I need help to modify it in order to use the t 
flip flops to simulate 4 leds that light up on each clock like this:

0 = led on
x = led off

clk 1: 0000
clk 2: 000x
clk 3: 00xx
clk 4: 0xxx
clk 5: xxxx
clk 6: xxx0
clk 7: xx00
clk 8: x000

(then it loops back to how it was in the first clock on the 9th clock.)

Thanks for the help

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Nick D. wrote:
> but I need help to modify it
So first will be to add the fourth flipflop.
And then implement the transition terms for the 8 transitions. It will 
be less typewriting when you reduce the logic terms by using state of 
the art tools like Karnaugh maps in advance.

> I have this code
And what does it do?
Examine it and think about what to add to get your job done.

> Thanks for the help
Its not the intention of this forum to do your homework. So start with 
something and when you have a particular problem, then ask.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.