EmbDev.net

Forum: FPGA, VHDL & Verilog FPGA gpio pin


von Hareesh M. (Company: Mindteck) (hareeshp)


Rate this post
useful
not useful
Hi,
I am currently working on the altera cyclone II development. How can 
make the gpio pin of FPGA high through the VHDL program?

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Hareesh M. wrote:
> How can make the gpio pin of FPGA high through the VHDL program?
This is the most usual way:
1
gpio_pin <= '1';

If thats not the answer you expected: maybe your question is missing 
some infomation...

von Hareesh M. (Company: Mindteck) (hareeshp)


Rate this post
useful
not useful
That's correct, but when i assign a gpio pin in the pin planner and 
checking the output voltage of gpio using multimeter, the value is not 
expected one!

von Ulf (Guest)


Rate this post
useful
not useful
Did you connect the right VCCIO to the Bank of the GPIO that you would 
like to use ?

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Hareesh M. wrote:
> but when i assign a gpio pin
What hardware plattform? What IO pin? Whats connected to that pin?

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.