EmbDev.net

Forum: FPGA, VHDL & Verilog Simple question about a case statement


von Luis G. (blasterfire)


Rate this post
useful
not useful
hello, I'm a newbie in verilog and I'm trying to make a case statement 
that when I introduce a data, it will give me another data depending on 
the one introduced
1
module cases(data_in, data_out2);
2
3
parameter A =  8'h1C;
4
parameter B =   8'h32;
5
parameter C =   8'h21;
6
7
input [7:0] data_in;
8
output reg [7:0] data_out2;
9
10
always@(data_in)
11
begin
12
  case(data_in)
13
  A: data_out2 = 8'h41;
14
  B: data_out2 = 8'h42;
15
  C: data_out2 = 8'h43;
16
  endcase
17
end
18
endmodule

This is what I did, but so far it doesn't do anything, it just gives an 
output without introducing data, it is displayed in a 7 segment display 
and, it just gives the number 42.

Can anyone help me telling me the error?

: Edited by Moderator
von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Luis G. wrote:
> This is what I did, but so far it doesn't do anything
How did you test it? What toolchain? What platform?

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.