EmbDev.net

Forum: FPGA, VHDL & Verilog ADC-DAC spartan 3e vhdl code


von John D. (johnx87)


Rate this post
useful
not useful
Hi,
Can someone provide me vhdl code for adc-dac on spartan 3e

von Vancouver (Guest)


Rate this post
useful
not useful
Please post your own code, we can help you to find the problem. Btw, 
possibly the problem maybe there is no ADC/DAC on the S3E?

von John D. (johnx87)


Rate this post
useful
not useful
Spartan 3e is having adc dac

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
John D. wrote:
> Spartan 3e is having adc dac
Sure? Which of the S3 is that mixed signal FPGA?

Or do you simply refer to a S3 board? If so: which one?

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.