EmbDev.net

Forum: FPGA, VHDL & Verilog Warning: NUMERIC_STD.">=": metavalue detected


von felix (Guest)


Rate this post
useful
not useful
helo members ,

i get this warning in questasim ,

Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE,
here count is unsigned vector ..
1
for i in 0 to 2 generate
2
process(clk,rst)
3
 if(rst)then
4
   count<=<=(others=>(others=>'0'));
5
 elseif rising_edge(clk)then
6
  if((count(i)>=to_unsigned(0, count(i)'length))
7
..
8
 end if;
9
end if;
10
end process
11
end generate
how can this be solved. i assigned initial values dueing signal count 
initialisation and during reset still gettind same error

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
felix wrote:
> count<=<=(others=>(others=>'0'));
Whats that?

The problem may be located somewhere outside the posted code snippet. 
Very interesting are the first 5 lines of your VHDL code. So simply 
attach your VHDL file as a *.vhdl file.

von Duke Scarring (Guest)


Attached files:

Rate this post
useful
not useful
felix wrote:
> how can this be solved
In such case I change the simulator runtime options (see graphic).
The simulator will stop an show the relevant code line.

Duke

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.