helo members , i get this warning in questasim , Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE, here count is unsigned vector ..
1 | for i in 0 to 2 generate |
2 | process(clk,rst) |
3 | if(rst)then |
4 | count<=<=(others=>(others=>'0')); |
5 | elseif rising_edge(clk)then |
6 | if((count(i)>=to_unsigned(0, count(i)'length)) |
7 | ..
|
8 | end if; |
9 | end if; |
10 | end process |
11 | end generate |
how can this be solved. i assigned initial values dueing signal count initialisation and during reset still gettind same error