EmbDev.net

Forum: FPGA, VHDL & Verilog Verilog Pong game using LEDs


von verihelper (Guest)


Rate this post
useful
not useful
Hi! I know this might not be welcome here, but I'm in desperate need of 
help. I need to make a verilog program for an altera de1 that simulates 
the pong game using LEDs, and uses the buttons as paddles. Can anyone 
help me with this? Thanks

von Your father, Luke! (Guest)


Rate this post
useful
not useful
We are not here to do your homework. What you got so far?

von mikemcbike (Guest)


Rate this post
useful
not useful
You are completely right. Asking other people for doing your work is not 
welcome here.

von Dussel (Guest)


Rate this post
useful
not useful
He asked for help and yes, there are people here who can help you.
Just ask.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Dussel wrote:
> Just ask.
But pls. ask a specific question.
Not just "Who does my homework?" but more "I tried this and it should do 
that, but it does something wrong, what can I do now?"

: Edited by Moderator
von Dussel (Guest)


Rate this post
useful
not useful
Lothar M. wrote:
> Not just "Who does my homework?" but more "I tried this and it should do
> that, but it does something wrong, what can I do now?"
"I turned the board on and it should show pong, but it does nothing, 
what can I do?"
;-)

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Dussel wrote:
> "I turned the board on and it should show pong, but it does nothing,
> what can I do?"
"Open your editor and write a pong game, synthesize it and create a 
bitfile. Transfer that bitfile to your FPGA..."
;-)

von Dussel (Guest)


Rate this post
useful
not useful
Ok, we should stop joking, otherwise the TO thinks we are making fun of 
him. We are just kidding around a little bit.
As I wrote, if you have questions, just ask. :-)

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.