EmbDev.net

Forum: FPGA, VHDL & Verilog getting started with fpga


von bebel (Guest)


Rate this post
useful
not useful
This is my first post so hello to all!

I'm just starting with fpga programming. I bought a cheap dev board from 
ebay:

http://www.ebay.com/itm/Development-Board-ALTERA-FPGA-1pc-Mini-System-CycloneII-EP2C5T144-Learning-Board-/400630255386?pt=LH_DefaultDomain_15&hash=item5d476c8f1a

As an initial test I wanted to see if I can get the leds light up. I 
don't have board description so based on the wiring the leds are 
probably connected to 3 of the first 10 pins somewhere. So I wrote code 
to set these pins to 1 but they don't work. I tried with 0 too maybe if 
the leds are active low.

Output levels are set to LVTTL, as I see from the wiring all IO blocks 
get 3.3V. I supply it from 6V instead of 5 but that's not a problem as 
the stabilizer can handle it. JTAG threw me a "chain broken" message so 
I use AS mode. Tried restarting the board after programming. I use a 
chinese USB blaster with the newest 64bit win7 drivers and Quartus 6.

Does anyone have some ideas where might the problem be? Thanks!

:
von Long T. (Company: Ha Noi- Vietnam) (longtv)


Rate this post
useful
not useful
Hi  bebel!

what is your HDL that you wrote leds module. i know verilog, if you want 
to code verilog, i can help you if you want, my skype: tv_long

: Edited by User
von bebel (Guest)


Rate this post
useful
not useful
The code is as simple as can be, that's why I didn't think it would be 
the cause:

entity fpga is
port(
  F1: out std_logic;
  F2: out std_logic;
  F3: out std_logic;
  F4: out std_logic;
  F5: out std_logic
);
end fpga;
architecture behv2 of fpga is
begin
    F1 <= '0';
    F2 <= '0';
    F3 <= '0';
    F4 <= '0';
    F5 <= '0';
end behv2;

von Karsten F. (Company: von Dänemark) (bingo600)


Attached files:

Rate this post
useful
not useful
I have one of these boards

Here's the doc i have & 2 tests

Bingo

von Karsten F. (Company: von Dänemark) (bingo600)


Rate this post
useful
not useful
Ohh do remember you have an onboard OSC connected.
Quartus seems to default unused pins to : output driving GND
You might get a race with the unused FPGA Osc pin (GND) and the OSC at 
3.3v

You could either make a dummy vhdl statement using the the OSC , or tell 
Quartus to set unused pins as 3-stated inputs with weak pullups

/Bingo

: Edited by User
von bebel (Guest)


Rate this post
useful
not useful
Hey thanks Bingo, it works now!

1) The leds are active low so 0 had to be set.
2) Tri-stating unused pins helped, otherwise the leds are very dim.
3) I had to detach AS cable from the board to reboot from eprom. Earlier 
I only removed the power supply but it seems that's not necessary.

Cheers!

von Karsten F. (Company: von Dänemark) (bingo600)


Rate this post
useful
not useful
bebel wrote:
> 2) Tri-stating unused pins helped, otherwise the leds are very dim.
> Cheers!

That sounds like you had a problem with pins driving GND , and pulling 
your 3v3 down. Hope your Osc is ok.

You could try the tests in the zip , they use the Osc.

/Bingo

von bebel (Guest)


Rate this post
useful
not useful
Yes the led is blinking correctly with your test, thanks!

von Jordan (Guest)


Rate this post
useful
not useful
If you need additional learning material for VHDL and FPGA check out my 
course!
https://www.udemy.com/vhdl-and-fpga-development-for-beginners-and-intermediates/?couponCode=FIVERR15

von Verilogi (Guest)


Rate this post
useful
not useful
Do you think your course is qualified?

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.