EmbDev.net

Forum: FPGA, VHDL & Verilog Text output for FPGA


von REKHA V. (Company: college of engineering chengan) (rekhavp)


Rate this post
useful
not useful
sir,
  I am comopletely new to FPGA. I want to take the output of the FPGA to 
a text file. Is it possible to store the output of FPGA to a text file??

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Where is "the textfile"? A textfile is a imaginary thing. It does not 
exist really. Its just a interpreted pattern of some voltage levels.

So you must clarify: where do you want to store that file? How do you 
connect this media to your FPGA? What "output" do you want to store? And 
when that is clear it comes to: size? Data rate? Endurance?

: Edited by Moderator
von REKHA V. (Company: college of engineering chengan) (rekhavp)


Rate this post
useful
not useful
I Just want to take the output which is available on the led to a 
readable format. I have to take almost 2^64 outputs which are in biary 
format ie '0' or '1'.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
REKHA V P wrote:
> the output which is available on the led to a readable format
The easiest way: go for a logic analyzer.

If that's not an option, then answer the rest of my  questions. If it is 
not clear what I meant, then ask. The most interesting points are: how 
many outputs and how fast do they change?

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.