Please some help with this code, I dont know where is the problem, is
giving me these errors:
Error: Node instance "TLong" instantiates undefined entity "OneShot"
Error: Node instance "TShort" instantiates undefined entity "OneShot"
here is the code...
1 | library ieee;
|
2 | use ieee.std_logic_1164.all;
|
3 | use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
4 |
|
5 | entity TimerCircuits is
|
6 | port (LongTrig, ShortTrig, Clk: in std_logic;
|
7 | TS,TL : buffer std_logic);
|
8 | end entity TimerCircuits;
|
9 |
|
10 | architecture TimerBehavior of TimerCircuits is
|
11 | component OneShot is
|
12 | port (enable, Clk : in std_logic;
|
13 | Duration : in integer range 0 to 25 ;
|
14 | QOut : buffer std_logic );
|
15 |
|
16 | end component OneShot;
|
17 |
|
18 | signal SetCountLong, SetCountShort: integer range 0 to 25;
|
19 | begin
|
20 | SetCountLong <= 25;
|
21 | SetCountShort <=4;
|
22 | TLong:OneShot port map (enable=>LongTrig, Clk=>Clk, Duration=>SetCountLong, QOut=>TL);
|
23 | TShort:OneShot port map (enable=>ShortTrig, Clk=>Clk, Duration=>SetCountShort, QOut=>TS);
|
24 | end architecture TimerBehavior;
|