Can someone explain to me this error and how to solve it?
1 | architecture xxx of yyy is |
2 | --
|
3 | signal wrptr,rdptr: std_logic_vector(n-1 downto 0); |
4 | --
|
5 | begin
|
6 | |
7 | process(reset,clk) |
8 | begin
|
9 | --
|
10 | end process; |
11 | |
12 | with rdptr select |
13 | --
|
14 | |
15 | with wrptr select |
16 | --
|
17 | |
18 | process(we,dmuxout) |
19 | --
|
20 | end process; |
21 | end xxx; |
The errors are:
1 | Illegal expression |
2 | xx.vhd, 40 |
3 | yyy |
4 | |
5 | with rdptr select |
6 | ^ |
7 | Expression must be the name of an object whose subtype is locally static, or |
8 | it must be a qualified expression or type conversion whose type mark denotes |
9 | a locally static subtype. |
10 | |
11 | Illegal expression |
12 | xx.vhd, 40 |
13 | yyy |
14 | |
15 | with wrptr select |
16 | ^ |
17 | Expression must be the name of an object whose subtype is locally static, or |
18 | it must be a qualified expression or type conversion whose type mark denotes |
19 | a locally static subtype. |