EmbDev.net

Forum: FPGA, VHDL & Verilog State Machine with accumulator in VHDL


von Sony (Guest)


Rate this post
useful
not useful
Hello,
    I need a State Machine in VHDL, that receives a start, then "read" a 
8-bit value, then sums it with the accumulated values(initialy 0), then 
put the result in a register, and then do all of it again, since the 
scan of the 8-bit value, until the register with 16-bit is totally 
filled. Can someone help me with this?

von Sony (Guest)


Rate this post
useful
not useful
PS.: Each of these steps, after a clock signal.

von Bitflüsterer (Guest)


Rate this post
useful
not useful
Take your description and elaborate. It's not really far from the 
result.

Consider the following questions:

What is "a start"? A pulse? A level?

What is the disctinction between your "device" and an ordinary counter? 
If there is any? If there is indeed none (or only a slight one), try to 
implement a counter.

What does "... until the register with 16-bit is totally filled." 
acutally mean? From a theretical point of vier: How many 8-Bit unsigneed 
number (are they?) may you add before a 16-Bit unsigned overflows?

von Bitflüsterer (Guest)


Rate this post
useful
not useful
Oops. Some typos:

What does "... until the register with 16-bit is totally filled."
auctually mean? From a theoretical point of view: How many 8-Bit 
unsigneed
number (are they?) may you add before a 16-Bit unsigned overflows?

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Sony wrote:
> "read" a 8-bit value
From an 8 bit port?

Sony wrote:
> until the register with 16-bit is totally filled.
And then? Discard the value and wait for the next start signal?

Or let it say the other way: what is this for? Is it just homework, or 
is it a part of something else? If the second: what is it part of?

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.