EmbDev.net

Forum: FPGA, VHDL & Verilog is not declared


von Dmitriy K. (kraftig)


Rate this post
useful
not useful
Hi, can you help me? I am using Xilinx ISE 14.3 and VHDL. And if I 
write:
1
library IEEE;                                                                      
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
--
6
7
entity system_core is
8
  port( I_SYSTEM_CLK_50M : in std_logic;
9
      I_SYSTEM_RST    : in std_logic;
10
      O_SYSTEM_CLK_1HZ : inout std_logic;
11
      O_SYSTEM_SEG_OUT : out std_logic_vector (7 downto 0):=(others=>'0')
12
      );
13
end system_core;
14
15
entity div_50M is
16
  port( I_CLK_50M : in std_logic;
17
      I_RST     : in std_logic;
18
      O_1HZ     : out std_logic);
19
20
end div_50M;
21
22
entity seven_seg is
23
  port ( I_EVENT_1HZ : in std_logic;
24
       SEG_OUT : out std_logic_vector (7 downto 0):=(others=>'0'));
25
end seven_seg;

I have many errors, as
1
Parsing architecture <arc0> of entity <system_core>.
2
Parsing entity <div_50M>.
3
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 35: <std_logic> is not declared.
4
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 36: <std_logic> is not declared.
5
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 37: <std_logic> is not declared.
6
ERROR:HDLCompiler:854 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 34: Unit <div_50m> ignored due to previous errors.
7
Parsing architecture <arc1> of entity <div_50m>.
8
ERROR:HDLCompiler:374 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 41: Entity <div_50m> is not yet compiled.
9
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 42: <std_logic> is not declared.
10
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 43: <std_logic_vector> is not declared.
11
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 51: <l_led_ctr> is not declared.
12
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 53: <l_led> is not declared.
13
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 54: <l_led_ctr> is not declared.
14
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 52: <l_led_ctr> is not declared.
15
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 56: <l_led_ctr> is not declared.
16
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 50: <i_rst> is not declared.
17
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 49: <i_clk_50m> is not declared.
18
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 60: <o_1hz> is not declared.
19
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 47: <i_clk_50m> is not declared.
20
Parsing entity <seven_seg>.
21
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 66: <std_logic> is not declared.
22
ERROR:HDLCompiler:69 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 67: <std_logic_vector> is not declared.
23
ERROR:HDLCompiler:854 - "C:\Users\BAT\Desktop\xilinx\Exapmles\vhdl_test\first_module.vhd" Line 65: Unit <seven_seg> ignored due to previous errors.

But if I write:
1
library IEEE;                                                                      
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
--
6
7
entity system_core is
8
  port( I_SYSTEM_CLK_50M : in std_logic;
9
      I_SYSTEM_RST    : in std_logic;
10
      O_SYSTEM_CLK_1HZ : inout std_logic;
11
      O_SYSTEM_SEG_OUT : out std_logic_vector (7 downto 0):=(others=>'0')
12
      );
13
end system_core;
14
15
use IEEE.STD_LOGIC_1164.ALL;
16
use IEEE.STD_LOGIC_ARITH.ALL;
17
use IEEE.STD_LOGIC_UNSIGNED.ALL;
18
--
19
20
entity div_50M is
21
  port( I_CLK_50M : in std_logic;
22
      I_RST     : in std_logic;
23
      O_1HZ     : out std_logic);
24
25
end div_50M;
26
27
use IEEE.STD_LOGIC_1164.ALL;
28
use IEEE.STD_LOGIC_ARITH.ALL;
29
use IEEE.STD_LOGIC_UNSIGNED.ALL;
30
--
31
32
entity seven_seg is
33
  port ( I_EVENT_1HZ : in std_logic;
34
       SEG_OUT : out std_logic_vector (7 downto 0):=(others=>'0'));
35
end seven_seg;

I have no errors, why?

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Dmitriy Kraftig wrote:
> I have no errors, why?
Before EACH entity you must declare the used libraries. That's VHDL...

Usually that's easy done, because each entity has its own vhdl file.

But: up to now no one of your entities has an architecture. And 
therefore it has no function.

von Dmitriy K. (kraftig)


Rate this post
useful
not useful
thank you!!!

von Savita (Guest)


Rate this post
useful
not useful
Sir I have vhdl code but in that I have declared signals but it is 
showing signal are not declared.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Savita wrote:
> I have vhdl code
Pls start a new thread for a new question.

And there show the questionable code and the corresponding error 
message. With the information you gave up to now it's only possible to 
guess, that the synthesizer may be correct.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.