Ok.. i correct my mistakes, i've generated the program file, but nothing
appears to happen on the board.
1 | entity top is
|
2 | Port ( CLock : in STD_LOGIC;
|
3 | LED : out STD_LOGIC_vector(3 downto 0);
|
4 | Switch : in STD_LOGIC
|
5 | );
|
6 | end top;
|
7 | architecture Behavioral of top is
|
8 | Signal nummer: std_logic_vector (1 downto 0);
|
9 |
|
10 | component randomnumber
|
11 | port (
|
12 | clk : in std_logic;
|
13 | gameon: in std_logic;
|
14 | bittet: out std_logic_vector (1 downto 0)
|
15 | );
|
16 | end component;
|
17 | component randtosequence
|
18 | Port (
|
19 | LED1 : out STD_LOGIC_VECTOR (3 downto 0);
|
20 | randbit : in STD_LOGIC_VECTOR (1 downto 0)
|
21 | );
|
22 | end component;
|
23 |
|
24 | begin
|
25 | randomnumber1 : randomnumber port map(clk => Clock, gameon => Switch, bittet => nummer);
|
26 | rantosequence1: randtosequence port map(LED1 => LED, randbit => nummer);
|
27 | end Behavioral;
|
I've tested each module individually, and the work.
So something must be wrong on how I've connected it.
Gameon is just (on/off switch for the game)
bittet is generate psuedo random number (std_logic_vector(1 downto 0))
using a LFSR.
LED1 is just the LED on the board.
randbit interprets the bittet, and convert to sequence which the LED
displays...