EmbDev.net

Forum: FPGA, VHDL & Verilog ps2 interface with fpga


von ahmed (Guest)


Rate this post
useful
not useful
peace.............
i want to connect spartan 3E with the keyboard how i can to do it in 
vhdl code,any idea plz

von Mirco (Guest)


Rate this post
useful
not useful
ahmed wrote:
> any idea plz

use some kind of fancy internet search engine:

http://www.lothar-miller.de/s9y/archives/75-PS2-Tastatur.html

von fpga progger (Guest)


Rate this post
useful
not useful
i tried that interface some time ago and it did not work ...

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Which of the three versions did you try? What didn't work? What problem 
did you encounter?

I tried all three of them and they did work then...

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.