EmbDev.net

Forum: FPGA, VHDL & Verilog to find error in vhdl code


von Anchal A. (anchalagarwal)



Rate this post
useful
not useful
This is a code and simulated waveform  of booth multiplier when we 
simulated it on xilinx9.2i,
the syntex is cheked but on generating the waveform we are not getting 
the correct output instead of multiplication, waveform shows the 
previous value of input.

please check the code and reply the correct code on my email 
id-anchalagarwal92@gmail.com

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Anchal Agarwal wrote:
> This is a code and simulated waveform  of booth multiplier
I will not open any *doc file. It may include some kind of malware. Best 
would be to attach the *.vhd files and some screenshots as *.png or 
*.gif...

von Anchal A. (anchalagarwal)


Attached files:

Rate this post
useful
not useful
I have send the .vhd and .png file  of code. please check it.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
See the error message? Do you understand the error message? Whats the 
value of uninitialized std_logic_vectors?

Try to initialize your signals like that:
signal sum, dif: std_logic_vector(mc'RANGE) := (others=>'0');

BTW: forget about that old obsolete libs
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
and the stupid calculating with vectors.
Take the
use IEEE.NUMERIC_STD.ALL;
instead!!!

von surbhi prakash (Guest)


Attached files:

Rate this post
useful
not useful
please find the error in my code

von mhm (Guest)


Rate this post
useful
not useful
surbhi prakash wrote:
> please find the error in my code

We will not find the "error" in your code.

However some improvements in your question could change this:

1. give at least a small explanation of what the code shall do! You want 
our help, so give some information first.
2. start an own thread for your problem, this one is over one year old! 
(and I assume from your name, that you are not the original author)
3. don't give your code as .prj-file. I assume from the file name that 
your code is written in VHDL so use the VHDL-type file ending (.vhd or 
.vhdl) for proper syntax highlighting!

Thanks.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
surbhi prakash wrote:
> please find the error in my code
What "code"? There's only a 57-byte file with extension *.prj. I'm very 
sure that thats no kind of "code".

> please find the error in my code
Pls start a NEW thread, when you have a NEW question.
And there you can describe WHAT the "code" is expected to do. And WHAT 
ist actually does instead. And HOW you did find that out. And if there 
are any errors reported from your toolcahin you can write WHAT errrors 
from WHAT part of WHAT toolchain.

And before sending your post you can read it as if you were somwhere on 
the other half of the earth and you don't know anything about your 
problem. And if you find, there's enough information, then you may press 
the "SUBMIT" button.

von nerved (Guest)


Rate this post
useful
not useful
surbhi prakash wrote:
> please find the error in my code

The Error is located in Layer 8.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.