EmbDev.net

Forum: FPGA, VHDL & Verilog error hdl compiler 164


von ISE xilinx (Guest)


Rate this post
useful
not useful
hi I have the same problem and I do not know what I should do.
This is my vhdl code :
------------------------------------------------------------------------ 
----------
-- Company:
-- Engineer:
--
-- Create Date: 23:41:24 08/10/2013
-- Design Name:
-- Module Name: scrambler - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
------------------------------------------------------------------------ 
----------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.NUMERIC_STD.ALL;
use STD.TEXTIO.ALL;
library WORK;
use WORK.pkgg.ALL;
--Library XilinxCoreLib;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity scrambler is
Port ( e : in STD_LOGIC_VECTOR (29 downto 0);
Sel : in STD_LOGIC_VECTOR (3 downto 0);
s : out STD_LOGIC_VECTOR (29 downto 0));
end scrambler;
architecture Behavioral of scrambler is
SIGNAL rst_n80220 : std_logic;
SIGNAL clk80220 : std_logic;
SIGNAL dout80220 : std_logic_vector(18 downto 0);

SIGNAL rst_n80216b : std_logic;
SIGNAL clk80216b : std_logic;
SIGNAL dout80216b : std_logic_vector(5 downto 0);

SIGNAL rst_n802154g : std_logic;
SIGNAL clk802154g : std_logic;
SIGNAL dout802154g : std_logic_vector(7 downto 0);

SIGNAL rst_nCDMA2000 : std_logic;
SIGNAL clkCDMA2000 : std_logic;
SIGNAL doutCDMA2000 : std_logic_vector(29 downto 0);

SIGNAL rst_nGPRS : std_logic;
SIGNAL clkGPRS : std_logic;
SIGNAL doutGPRS : std_logic_vector(5 downto 0);

SIGNAL rst_nGSM : std_logic;
SIGNAL clkGSM : std_logic;
SIGNAL doutGSM : std_logic_vector(23 downto 0);

SIGNAL rst_nHSDPA : std_logic;
SIGNAL clkHSDPA : std_logic;
SIGNAL doutHSDPA : std_logic_vector(14 downto 0);

SIGNAL rst_nIS95 : std_logic;
SIGNAL clkIS95 : std_logic;
SIGNAL doutIS95 : std_logic_vector(13 downto 0);

SIGNAL rst_nLTE : std_logic;
SIGNAL clkLTE : std_logic;
SIGNAL doutLTE : std_logic_vector(14 downto 0);

SIGNAL rst_nUMTS : std_logic;
SIGNAL clkUMTS : std_logic;
SIGNAL doutUMTS : std_logic_vector(15 downto 0);

SIGNAL rst_nWCDMA : std_logic;
SIGNAL clkWCDMA : std_logic;
SIGNAL doutWCDMA : std_logic_vector(23 downto 0);

SIGNAL rst_nDVB : std_logic;
SIGNAL clkDVB : std_logic;
SIGNAL doutDVB : std_logic_vector(13 downto 0);

width80220 : integer := 19;
length80220 : integer := 20;
taps80220 : T_LFSR_TAPS4 := (20, 19, 16, 14);

width80216b : integer := 6;
length80216b : integer := 7;
taps80216b : T_LFSR_TAPS6 := (7, 6, 5, 4, 3, 2);

width802154g : integer := 8;
length802154g : integer := 9;
taps802154g : T_LFSR_TAPS2 := (9, 4);

widthCDMA2000 : integer := 30;
lengthCDMA2000 : integer := 31;
tapsCDMA2000 : T_LFSR_TAPS16 := (31, 27, 26, 25, 22, 21, 19, 18, 17, 16, 
10, 7, 6, 5, 3, 2);

widthGPRS : integer := 6;
lengthGPRS : integer := 7;
tapsGPRS : T_LFSR_TAPS2 := (7, 4);

widthGSM : integer := 24;
lengthGSM : integer := 25;
tapsGSM : T_LFSR_TAPS4 := (25, 20, 12, 8);

widthHSDPA : integer := 15;
lengthHSDPA : integer := 16;
tapsHSDPA : T_LFSR_TAPS4 := (16, 14, 13, 11);

widthIS95 : integer := 14;
lengthIS95 : integer := 15;
tapsIS95 : T_LFSR_TAPS6 := (15, 13, 9, 8, 7, 5);

widthLTE : integer := 15;
lengthLTE : integer := 16;
tapsLTE : T_LFSR_TAPS3 := (16, 12, 5);

widthUMTS : integer := 16;
lengthUMTS : integer := 17;
tapsUMTS : T_LFSR_TAPS2 := (17, 7);

widthWCDMA : integer := 24;
lengthWCDMA : integer := 25;
tapsWCDMA : T_LFSR_TAPS2 := (25, 3);

widthDVB : integer := 14;
lengthDVB : integer := 15;
tapsDVB : T_LFSR_TAPS2 := (15, 14);
component genlfsr80220
generic (
width80220 : integer := 19;
length80220 : integer := 20;
taps80220 : T_LFSR_TAPS4 := (20, 19, 16, 14)
);
port (
rst_n80220 : in std_logic;
clk80220 : in std_logic;
dout80220 : out std_logic_vector(18 downto 0)
);
end component;

component genlfsr80216b
generic (
width80216b : integer := 6;
length80216b : integer := 7;
taps80216b : T_LFSR_TAPS6 := (7, 6, 5, 4, 3, 2)
);
port (
rst_n80216b : in std_logic;
clk80216b : in std_logic;
dout80216b : out std_logic_vector(5 downto 0)
);
end component;

component genlfsr802154g
generic (
width802154g : integer := 8;
length802154g : integer := 9;
taps802154g : T_LFSR_TAPS2 := (9, 4)
);
port (
rst_n802154g : in std_logic;
clk802154g : in std_logic;
dout802154g : out std_logic_vector(7 downto 0)
);
end component;

component genlfsrCDMA2000
generic (
widthCDMA2000 : integer := 30;
lengthCDMA2000 : integer := 31;
tapsCDMA2000 : T_LFSR_TAPS16 := (31, 27, 26, 25, 22, 21, 19, 18, 17, 16, 
10, 7, 6, 5, 3, 2)
);
port (
rst_nCDMA2000 : in std_logic;
clkCDMA2000 : in std_logic;
doutCDMA2000 : out std_logic_vector(29 downto 0)
);
end component;

component genlfsrGPRS
generic (
widthGPRS : integer := 6;
lengthGPRS : integer := 7;
tapsGPRS : T_LFSR_TAPS2 := (7, 4)
);
port (
rst_nGPRS : in std_logic;
clkGPRS : in std_logic;
doutGPRS : out std_logic_vector(5 downto 0)
);
end component;

component genlfsrGSM
generic (
widthGSM : integer := 24;
lengthGSM : integer := 25;
tapsGSM : T_LFSR_TAPS4 := (25, 20, 12, 8)
);
port (
rst_nGSM : in std_logic;
clkGSM : in std_logic;
doutGSM : out std_logic_vector(23 downto 0)
);
end component;

component genlfsrHSDPA
generic (
widthHSDPA : integer := 15;
lengthHSDPA : integer := 16;
tapsHSDPA : T_LFSR_TAPS4 := (16, 14, 13, 11)
);
port (
rst_nHSDPA : in std_logic;
clkHSDPA : in std_logic;
doutHSDPA : out std_logic_vector(14 downto 0)
);
end component;

component genlfsrIS95
generic (
widthIS95 : integer := 14;
lengthIS95 : integer := 15;
tapsIS95 : T_LFSR_TAPS6 := (15, 13, 9, 8, 7, 5)
);
port (
rst_nIS95 : in std_logic;
clkIS95 : in std_logic;
doutIS95 : out std_logic_vector(13 downto 0)
);
end component;

component genlfsrLTE
generic (
widthLTE : integer := 15;
lengthLTE : integer := 16;
tapsLTE : T_LFSR_TAPS3 := (16, 12, 5)
);
port (
rst_nLTE : in std_logic;
clkLTE : in std_logic;
doutLTE : out std_logic_vector(14 downto 0)
);
end component;

component genlfsrUMTS
generic (
widthUMTS : integer := 16;
lengthUMTS : integer := 17;
tapsUMTS : T_LFSR_TAPS2 := (17, 7)
);
port (
rst_nUMTS : in std_logic;
clkUMTS : in std_logic;
doutUMTS : out std_logic_vector(15 downto 0)
);
end component;

component genlfsrWCDMA
generic (
widthWCDMA : integer := 24;
lengthWCDMA : integer := 25;
tapsWCDMA : T_LFSR_TAPS2 := (25, 3)
);
port (
rst_nWCDMA : in std_logic;
clkWCDMA : in std_logic;
doutWCDMA : out std_logic_vector(23 downto 0)
);
end component;

component genlfsrDVB
generic (
widthDVB : integer := 14;
lengthDVB : integer := 15;
tapsDVB : T_LFSR_TAPS2 := (15, 14)
);
port (
rst_nDVB : in std_logic;
clkDVB : in std_logic;
doutDVB : out std_logic_vector(13 downto 0)
);
end component;
begin

U1: entity work.genlfsrWCDMA(behavioral)
generic map(widthWCDMA => widthWCDMA ,lengthWCDMA => lengthWCDMA 
,tapsWCDMA => tapsWCDMA)
port map (rst_nWCDMA => rst_nWCDMA ,clkWCDMA => clkWCDMA ,doutWCDMA => 
doutWCDMA);

U2: entity work.genlfsrUMTS(behavioral)
generic map (widthUMTS => widthUMTS ,lengthUMTS => lengthUMTS ,tapsUMTS 
=> tapsUMTS)
port map (rst_nUMTS => rst_nUMTS ,clkUMTS => clkUMTS ,doutUMTS 
=>doutUMTS);

U3: entity work.genlfsrGSM(behavioral)
generic map (widthGSM => widthGSM ,lengthGSM => lengthGSM ,tapsGSM => 
tapsGSM)
port map (rst_nGSM => rst_nGSM ,clkGSM => clkGSM ,doutGSM =>doutGSM);

U4: entity work.genlfsrGPRS(behavioral)
generic map (widthGPRS => widthGPRS ,lengthGPRS => lengthGPRS ,tapsGPRS 
=> tapsGPRS)
port map(rst_nGPRS => rst_nGPRS ,clkGPRS => clkGPRS ,doutGPRS 
=>doutGPRS);

U5: entity work.genlfsrLTE(behavioral)
generic map (widthLTE => widthLTE ,lengthLTE => lengthLTE ,tapsLTE => 
tapsLTE)
port map(rst_nLTE => rst_nLTE ,clkLTE => clkLTE ,doutLTE =>doutLTE);

U6: entity work.genlfsrDVB(behavioral)
generic map (widthDVB => widthDVB ,lengthDVB => lengthDVB ,tapsDVB => 
tapsDVB)
port map(rst_nDVB => rst_nDVB ,clkDVB => clkDVB ,doutDVB =>doutDVB);

U7: entity work.genlfsrCDMA2000(behavioral)
generic map (widthCDMA2000 => widthCDMA2000 ,lengthCDMA2000 => 
lengthCDMA2000 ,tapsCDMA2000 => tapsCDMA2000)
port map(rst_nCDMA2000 => rst_nCDMA2000 ,clkCDMA2000 => clkCDMA2000 
,doutCDMA2000 =>doutCDMA2000);

U8: entity work.genlfsr802154g(behavioral)
generic map (width802154g => width802154g ,length802154g => 
length802154g ,taps802154g => taps802154g)
port map(rst_n802154g => rst_n802154g ,clk802154g => clk802154g 
,dout802154g =>dout802154g);

U9: entity work.genlfsr80220(behavioral)
generic map (width80220 => width80220 ,length80220 => length80220 
,taps80220 => taps80220)
port map(rst_n80220 => rst_n80220 ,clk80220 => clk80220 ,dout80220 
=>dout80220);

U10: entity work.genlfsr80216b(behavioral)
generic map (width80216b => width80216b ,length80216b => length80216b 
,taps80216b => taps80216b)
port map(rst_n80216b => rst_n80216b ,clk80216b => clk80216b ,dout80216b 
=>dout80216b);

U11: entity work.genlfsrHSDPA(behavioral)
generic map (widthHSDPA => widthHSDPA ,lengthHSDPA => lengthHSDPA 
,tapsHSDPA => tapsHSDPA)
port map(rst_nHSDPA => rst_nHSDPA ,clkHSDPA => clkHSDPA ,doutHSDPA 
=>doutHSDPA);

U12: entity work.genlfsrIS95(behavioral)
generic map (widthIS95 => widthIS95 ,lengthIS95 => lengthIS95 ,tapsIS95 
=> tapsIS95)
port map(rst_nIS95 => rst_nIS95 ,clkIS95 => clkIS95 ,doutIS95 
=>doutIS95);

process (doutGPRS, 
doutCDMA2000,doutUMTS,doutGSM,doutLTE,doutDVB,doutWCDMA,dout802154g,dout 
80220,  Sel) is

begin

s <= (others=>'0');

if Sel = "0000" then
s(29 downto 29-doutCDMA2000'high) <= doutCDMA2000 ;
elsif Sel = "0010" then

s(29 downto 29-doutGPRS'high) <= doutGPRS;
elsif Sel = "0100" then

s(29 downto 29-doutUMTS'high) <= doutUMTS;
elsif Sel = "0110" then

s(29 downto 29-doutGSM'high) <= doutGSM;
elsif Sel = "1000" then

s(29 downto 29-doutLTE'high) <= doutLTE;
elsif Sel = "1010" then

s(29 downto 29-doutDVB'high) <= doutDVB;
elsif Sel = "1100" then

s(29 downto 29-doutWCDMA'high) <= doutWCDMA;
elsif Sel = "0011" then

s(29 downto 29-dout80216b'high) <= dout80216b;
elsif Sel = "1001" then

s(29 downto 29-doutIS95'high) <= doutIS95;
elsif Sel = "0001" then

s(29 downto 29-doutHSDPA'high) <= doutHSDPA;
elsif Sel = "1111" then

s(29 downto 29-dout802154g'high) <= dout802154g;
else

s(29 downto 29-dout80220'high) <= dout80220;
end if ;

end process;
end Behavioral;

My probleme show in red and compilation of create timing constraints 
with Project navigator ISE is :
ERROR:HDLParsers:164 - 
"C:/Users/PROXIMEDIA/Documents/ISE-file/scrambler/scrambler.vhd" Line 
93. parse error, unexpected IDENTIFIER
please help me

: Locked by Admin
von P. K. (pek)


Rate this post
useful
not useful
Avoid multiple posts, or you may get your answer in an unexpected 
position. Here the repost of my answer on your other input:

Try it with the keyword "SIGNAL" in front of your signal declarations.

Btw.: Formatted code as a VHDL attachment would ease things and increase 
chance someone looking into your issue.

von ISE xilinx (Guest)


Rate this post
useful
not useful
thanks for your attention
But if I try the keyword "SIGNAL" in front of your signal declarations 
the error will be as follow :

ERROR:HDLCompiler:806 - 
"C:/Users/PROXIMEDIA/Documents/ISE-file/scrambler/scrambler.vhd" Line 
94: Syntax error near "length80220".

I don't understand what is my real error
 please help me

von Karl Könner (Guest)


Rate this post
useful
not useful
Seems that starting from line 91 there a some malformed CONSTANT 
declarations.
A constant declaration looks like:

CONSTANT the_answer : integer := 42;

Furthermore there are some user defined types (i.e. T_LFSR_TAPS4). maybe 
the type definion is in none of your packages.

Best regards,

von P. K. (pek)


Rate this post
useful
not useful
ISE xilinx wrote:
> SIGNAL clkDVB : std_logic;
> SIGNAL doutDVB : std_logic_vector(13 downto 0);
>
> width80220 : integer := 19;
> length80220 : integer := 20;

Do it either like this:
1
SIGNAL clkDVB : std_logic;
2
SIGNAL doutDVB : std_logic_vector(13 downto 0);
3
4
SIGNAL width80220 : integer := 19;
5
SIGNAL length80220 : integer := 20;
6
...

or like this:
1
SIGNAL clkDVB : std_logic;
2
SIGNAL doutDVB : std_logic_vector(13 downto 0);
3
4
CONSTANT width80220 : integer := 19;
5
CONSTANT length80220 : integer := 20;
6
...

Depending on your needs...

von ISE xilinx (Guest)


Rate this post
useful
not useful
thank you very much

von Karl Könner (Guest)


Rate this post
useful
not useful
ISE xilinx wrote:
> width80220

Peter K. wrote:
> Do it either like this:
> SIGNAL clkDVB : std_logic;
> SIGNAL doutDVB : std_logic_vector(13 downto 0);
>
> SIGNAL width80220 : integer := 19;
> SIGNAL length80220 : integer := 20;
> ...
>
> or like this:
> SIGNAL clkDVB : std_logic;
> SIGNAL doutDVB : std_logic_vector(13 downto 0);
>
> CONSTANT width80220 : integer := 19;
> CONSTANT length80220 : integer := 20;
> ...


width80220 is later used in a generic map where signals are not allowed. 
So you need "CONSTANT", not "SIGNAL".

Greetings,

von ISE xilinx (Guest)


Rate this post
useful
not useful
thank you for your attention
how to get a time report with ISE Project Navigator ?

von Karl Könner (Guest)


Attached files:

Rate this post
useful
not useful
ISE xilinx wrote:
> how to get a time report with ISE Project Navigator ?

Run timing analyzer, see atached screenshoot

von ISE xilinx (Guest)


Rate this post
useful
not useful
thank you very much

von ISE xilinx (Guest)


Rate this post
useful
not useful
when I run synthesize XST I find these warnings and I don't understand 
its :
"
WARNING:Xst:819 - 
"C:/Users/PROXIMEDIA/Documents/ISE-file/scrambler/scrambler.vhd" line 
346: One or more signals are missing in the process sensitivity list. To 
enable synthesis of FPGA/CPLD hardware, XST will assume that all 
necessary signals are present in the sensitivity list. Please note that 
the result of the synthesis may differ from the initial design 
specification. The missing signals are:
   <dout80216b>, <doutIS95>, <doutHSDPA>
WARNING:Xst:647 - Input <e> is never used. This port will be preserved 
and left unconnected if it belongs to a top-level block or it belongs to 
a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:653 - Signal <rst_nWCDMA> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_nUMTS> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_nLTE> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_nIS95> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_nHSDPA> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_nGSM> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_nGPRS> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_nDVB> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_nCDMA2000> is used but never assigned. 
This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_n80220> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_n80216b> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <rst_n802154g> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkWCDMA> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkUMTS> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkLTE> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkIS95> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkHSDPA> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkGSM> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkGPRS> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkDVB> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clkCDMA2000> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clk80220> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clk80216b> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <clk802154g> is used but never assigned. This 
sourceless signal will be automatically connected to value 0.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_19> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_20> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_21> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_22> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_23> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_24> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_25> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_26> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_27> (without init value) has a 
constant value of 1 in block <U7>. This FF/Latch will be trimmed during 
the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch 
<regWCDMA_24> (without init value) has a constant value of 1 in block 
<U1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <regGPRS_6> 
(without init value) has a constant value of 1 in block <U4>. This 
FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch 
<reg80216b_6> (without init value) has a constant value of 1 in block 
<U10>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1290 - Hierarchical block <U1> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U2> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U3> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U4> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U5> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U6> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U7> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U8> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U9> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U10> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U11> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <U12> is unconnected in block 
<scrambler>.
   It will be removed from the design.
WARNING:Xst:1710 - FF/Latch <regWCDMA_23> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_22> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_21> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_20> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_19> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_18> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_17> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_16> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_15> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_14> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_13> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_12> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_11> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_10> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_9> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_8> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_7> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_6> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_5> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_4> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_3> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_2> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_1> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regWCDMA_0> (without init value) has a 
constant value of 1 in block <genlfsrWCDMA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch 
<regWCDMA_24> (without init value) has a constant value of 1 in block 
<genlfsrWCDMA>. This FF/Latch will be trimmed during the optimization 
process.
WARNING:Xst:1710 - FF/Latch <regUMTS_15> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_14> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_13> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_12> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_11> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_10> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_9> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_8> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_7> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_6> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_5> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_4> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_3> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_2> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_1> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regUMTS_0> (without init value) has a 
constant value of 1 in block <genlfsrUMTS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <regUMTS_16> 
(without init value) has a constant value of 1 in block <genlfsrUMTS>. 
This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_23> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_22> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_21> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_20> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_19> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_18> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_17> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_16> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_15> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_14> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_13> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_12> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_11> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_10> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_9> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_8> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_7> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_6> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_5> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_4> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_3> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_2> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_1> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGSM_0> (without init value) has a 
constant value of 1 in block <genlfsrGSM>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <regGSM_24> 
(without init value) has a constant value of 1 in block <genlfsrGSM>. 
This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGPRS_0> (without init value) has a 
constant value of 1 in block <genlfsrGPRS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGPRS_1> (without init value) has a 
constant value of 1 in block <genlfsrGPRS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGPRS_2> (without init value) has a 
constant value of 1 in block <genlfsrGPRS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGPRS_3> (without init value) has a 
constant value of 1 in block <genlfsrGPRS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGPRS_4> (without init value) has a 
constant value of 1 in block <genlfsrGPRS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regGPRS_5> (without init value) has a 
constant value of 1 in block <genlfsrGPRS>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <regGPRS_6> 
(without init value) has a constant value of 1 in block <genlfsrGPRS>. 
This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_0> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_1> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_2> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_3> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_4> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_5> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_6> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_7> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_8> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_9> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_10> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_11> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_12> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_13> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regLTE_14> (without init value) has a 
constant value of 1 in block <genlfsrLTE>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <regLTE_15> 
(without init value) has a constant value of 1 in block <genlfsrLTE>. 
This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_0> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_1> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_2> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_3> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_4> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_5> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_6> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_7> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_8> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_9> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_10> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_11> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_12> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1710 - FF/Latch <regDVB_13> (without init value) has a 
constant value of 1 in block <genlfsrDVB>. This FF/Latch will be trimmed 
during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <regDVB_14> 
(without init value) has a constant value of 1 in block <genlfsrDVB>. 
This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_29> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_28> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_27> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_26> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_25> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_24> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_23> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_22> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_21> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_20> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_19> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_18> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_17> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_16> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_15> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_14> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_13> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_12> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_11> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_10> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_9> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_8> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_7> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_6> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_5> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_4> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_3> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_2> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_1> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regCDMA2000_0> (without init value) has a 
constant value of 1 in block <genlfsrCDMA2000>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch 
<regCDMA2000_30> (without init value) has a constant value of 1 in block 
<genlfsrCDMA2000>. This FF/Latch will be trimmed during the optimization 
process.
WARNING:Xst:1710 - FF/Latch <reg802154g_0> (without init value) has a 
constant value of 1 in block <genlfsr802154g>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg802154g_1> (without init value) has a 
constant value of 1 in block <genlfsr802154g>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg802154g_2> (without init value) has a 
constant value of 1 in block <genlfsr802154g>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg802154g_3> (without init value) has a 
constant value of 1 in block <genlfsr802154g>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg802154g_4> (without init value) has a 
constant value of 1 in block <genlfsr802154g>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg802154g_5> (without init value) has a 
constant value of 1 in block <genlfsr802154g>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg802154g_6> (without init value) has a 
constant value of 1 in block <genlfsr802154g>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg802154g_7> (without init value) has a 
constant value of 1 in block <genlfsr802154g>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch 
<reg802154g_8> (without init value) has a constant value of 1 in block 
<genlfsr802154g>. This FF/Latch will be trimmed during the optimization 
process.
WARNING:Xst:1710 - FF/Latch <reg80220_18> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_17> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_16> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_15> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_14> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_13> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_12> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_11> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_10> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_9> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_8> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_7> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_6> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_5> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_4> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_3> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_2> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_1> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80220_0> (without init value) has a 
constant value of 1 in block <genlfsr80220>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch 
<reg80220_19> (without init value) has a constant value of 1 in block 
<genlfsr80220>. This FF/Latch will be trimmed during the optimization 
process.
WARNING:Xst:1710 - FF/Latch <reg80216b_0> (without init value) has a 
constant value of 1 in block <genlfsr80216b>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80216b_1> (without init value) has a 
constant value of 1 in block <genlfsr80216b>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80216b_2> (without init value) has a 
constant value of 1 in block <genlfsr80216b>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80216b_3> (without init value) has a 
constant value of 1 in block <genlfsr80216b>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80216b_4> (without init value) has a 
constant value of 1 in block <genlfsr80216b>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <reg80216b_5> (without init value) has a 
constant value of 1 in block <genlfsr80216b>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch 
<reg80216b_6> (without init value) has a constant value of 1 in block 
<genlfsr80216b>. This FF/Latch will be trimmed during the optimization 
process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_0> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_1> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_2> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_3> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_4> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_5> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_6> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_7> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_8> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_9> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_10> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_11> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_12> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_13> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regHSDPA_14> (without init value) has a 
constant value of 1 in block <genlfsrHSDPA>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch 
<regHSDPA_15> (without init value) has a constant value of 1 in block 
<genlfsrHSDPA>. This FF/Latch will be trimmed during the optimization 
process.
WARNING:Xst:1710 - FF/Latch <regIS95_0> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_1> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_2> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_3> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_4> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_5> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_6> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_7> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_8> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_9> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_10> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_11> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_12> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <regIS95_13> (without init value) has a 
constant value of 1 in block <genlfsrIS95>. This FF/Latch will be 
trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <regIS95_14> 
(without init value) has a constant value of 1 in block <genlfsrIS95>. 
This FF/Latch will be trimmed during the optimization process.

"

von Andreas S. (andreas) (Admin)


Rate this post
useful
not useful
These warnings should be very clear to someone with even just a basic 
understanding of VHDL. Please make at least a minimal effort to solve 
problems on your own before you ask in this forum. Asking here is not a 
replacement for learning VHDL.

This topic is locked and can not be replied to.