EmbDev.net

Forum: FPGA, VHDL & Verilog fatal vhdl error


von entity / package (Guest)


Attached files:

Rate this post
useful
not useful
good morning
what mean this error

# ** Fatal: (vsim-3420) Array lengths do not match. Left is 30 (29 
downto 0). Right is 14 (13 downto 0).
#    Time: 0 ns  Iteration: 0  Process: /composantscrambler/line__332 
File: C:/modeltech_10.0c/examples/composant-scrambler.vhd
# Fatal error in Process line__332 at 
C:/modeltech_10.0c/examples/composant-scrambler.vhd line 341

it joined my vhdl program
thanks

von Olga (Guest)


Rate this post
useful
not useful
The error means exactly this:

entity / package wrote:
> Array lengths do not match. Left is 30 (29
> downto 0). Right is 14 (13 downto 0)

von Marius (Guest)


Rate this post
useful
not useful
That's easy: Your signal s is 30 bits wide and you try to assign a value 
to it which has only 14 bits. That's the problem. The error occurs in 
line 341.

Cheers
Marius

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.