Hello, how can I write the incrementer in this diagram with vhdl?
The easiest way would be with a text editor, like Geany or Notepad++, but the simple editor in Windows will also do. There are also Vim and Emacs, but I wouldn't recommend them. Another, rather funny way would be handwriting and OCR, but I doubt many people do it that way. :-)
I'm using Notepad, but I didn't really want to ask this question. I wanted to ask how to write incrementer structure with vhdl language.
The first and easiest step is to define the entity with its ports. In the second step, the architecture is defined. How should ouput(s) react to the input and how can this be achieved. The syntax of a vhdl file with entity and architecture can be found all over the internet, but here a quick structural example:
1 | library IEEE; |
2 | |
3 | use ieee.std_logic_1164.all; |
4 | use ieee.numeric_std.all; |
5 | |
6 | entity incrementer is |
7 | port( |
8 | -- Input and output ports here
|
9 | );
|
10 | end entity; |
11 | |
12 | architecture rtl of incrementer is |
13 | begin
|
14 | |
15 | -- Behaviour description here
|
16 | |
17 | end architecture; |
Sometimes the vhdl formatting is broken. I cannot change that.
Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
Log in with Google account
No account? Register here.