EmbDev.net

Forum: FPGA, VHDL & Verilog Bus Avalon interface


von Electronica71 E. (electronica71)


Rate this post
useful
not useful
Hola!

Alguien me podria brindar una ayuda para poder realizar una interface de 
lectuta y escritura en el bus avalon para despues instanciarlo en Qsys.
Tengo un proyecto ya realizado que funciona, es una lectura de medicion 
de distancia a traves de un sensor HC-SR05, los resultados de la 
medicion se visualizan en el display de 7 segmentos de la tarjeta 
DE10-Lite y ademas muestra diferentes colores en la pantalla VGA 
dependiendo de la distancia que mide.

Este es mi Entidad principal la cual se instancia con los otros 
componentes y es con esta entidad que debo crear la interfaz avalon para 
poder crear un componente IP en el Qsys, para despues por el lado del 
NIOS II lea este componente IP. Uso VHDL como descripcion de hardware.

entity VGA_HW_IP is
Port
(

     CLOCK_50    : in  std_logic;
     RESET_N            : in  std_logic;
     ECHO        : in  std_logic;
     TRIGGER             : out std_logic;
     HUNDRATAL        : out std_logic_vector(6 downto 0);
     TIOTAL            : out std_logic_vector(6 downto 0);
     ENTAL       : out std_logic_vector(6 downto 0);
     VGA_HS            : out std_logic;
     VGA_VS            : out std_logic;
     VGA_R             : out std_logic_vector(3 downto 0);
     VGA_G             : out std_logic_vector(3 downto 0);
     VGA_B             : out std_logic_vector(3 downto 0)

);
end VGA_HW_IP;

Les agradecere de antemano cualquier colaboracion que me puedan brindar.

Gracias!!!

von adouville (Guest)


Rate this post
useful
not useful
Buenos días,
Sería mejor exponer su problema en inglés...
English is the best language here!

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.