Hallo again.
I don't know if I should start a new tread or continue here. I am facing
another Problem. I would like the values I am reading from the input
text file to appear on the waveform window of the Simulation Software
(Active-HDL). These Signals only appear as Zero (0) in the waveform. My
Code look like:
1 | Signal e_in : std_logic;
|
2 | Signal a_in : std_logic_vector(31 downto 0);
|
3 | Signal b_in : std_logic_vector(3 downto 0);
|
4 | ...
|
5 | process
|
6 | variable s : string(1 to 300);
|
7 | variable iline : line;
|
8 | variable oline : line;
|
9 | variable e_in : std_logic;
|
10 | variable a_in : std_logic_vector (31 downto 0);
|
11 | variable sapce : character;
|
12 | ...
|
13 | file_open(vectors, "input_vectors.txt", read_mode);
|
14 | file_open(results, "output_results.txt", write_mode);
|
15 |
|
16 | while not endfile(vectors) loop
|
17 | readline(vectors, iline);
|
18 | if (s(1 to 3)= "#AE") then
|
19 | read(iline, space);
|
20 | hread(iline, a_in); --This value to appear on the waveform
|
21 | read (iline, space);
|
22 | read (iline, e_in); -- as well as this value
|
23 | read (iline, space);
|
24 | read (iline, b_in); -- and this one too
|
25 | in_a <= a_in;
|
26 | in_e <= e_in;
|
27 | in_b <= b_in;
|
28 | elsif
|
29 | ...
|
30 |
|
31 | hwrite(oline, output_data);
|
32 | end loop;
|
33 |
|
34 | file_close(vectors);
|
35 | file_close(results);
|
the Content of my Input file
1 | #AE 0000001A 1 0010
|
2 | #CDE 00FC0011 0001 00000274
|
3 | ...
|
Many thanks