EmbDev.net

Forum: FPGA, VHDL & Verilog Verilog start


von daniels (Guest)


Rate this post
useful
not useful
Hello everyone :)

I was interested in learning Verilog and for starters I wanted to get a 
recommendation from you for a good IDE

The priority is as simple as possible .

I understood that there was Modelsim and Quartus

But I'd rather not work with them

tnx

von T. Baumann (Guest)


Rate this post
useful
not useful
Hi,

if you are interested in learning Verilog only, I recommend to use a 
free development platform. Therefore Modelsim shouldn't be your first 
choice.

If you plan to go further than simply learning Verilog, e.g. develop 
FPGA designs, it depends on which device you choose for your projects. 
Here is a small list with free software alternatives:

Manufacturer - Software Kit
------------------------------
Intel/Altera - Quartus
Xilinx - ISE/Vivado
Lattice - Diamond (Simulator included only in Windows version!)
Microsemi - Libero

For Xilinx and Lattice I know there is free Verilog support.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.