I am running Libero V11.8 with a Proasic3 A3P060-100VQFP attached to a
10MHz clock.
I am trying to get my first ever sketch running, it's extremely simple.
One input CLK, and 4 outputs LED (3 downto 0), the code is below, it
seems to check out fine.
It synthesizes with no problem, but then I go to set the I/O constraints
and run into a big problem.
I click on "Create/Edit I/O Constraints", it brings up the Designer and
the MultiView Navigator (see attached screenshot), it lists all the
outputs LED[0-3], but it doesn't list the CLK input. Because it doesn't
list the CLK input I have no way of assigning it the onboard clock and
the program doesn't do anything.
How do I get it to allow me to attach the the input? I tried renaming
CLK and even adding other inputs, but none of them appear in the
constraints editor.
I'm sure there is something simple I am missing, if anyone can point it
out I'd be grateful.
Thanks,
Josh
1 | --------------------------------------------------------------------------------
|
2 | -- Company: <Name>
|
3 | --
|
4 | -- File: LED.vhd
|
5 | -- File history:
|
6 | -- <Revision number>: <Date>: <Comments>
|
7 | -- <Revision number>: <Date>: <Comments>
|
8 | -- <Revision number>: <Date>: <Comments>
|
9 | --
|
10 | -- Description:
|
11 | --
|
12 | -- <Description here>
|
13 | --
|
14 | -- Targeted device: <Family::ProASIC3> <Die::A3P060> <Package::100 VQFP>
|
15 | -- Author: <Name>
|
16 | --
|
17 | --------------------------------------------------------------------------------
|
18 |
|
19 | library IEEE;
|
20 |
|
21 | use IEEE.std_logic_1164.all;
|
22 |
|
23 | entity LED is
|
24 | port (
|
25 | CLK : in std_logic;
|
26 | LED : out std_logic_vector (3 downto 0)
|
27 | );
|
28 | end LED;
|
29 | architecture architecture_LED of LED is
|
30 | signal pulse : std_logic := '0';
|
31 | signal count : integer range 0 to 9999999 := 0;
|
32 |
|
33 | begin
|
34 | process(CLK)
|
35 | begin
|
36 | if (CLK' event and CLK = '1') then
|
37 | if count = 9999999 then
|
38 | count <= 0;
|
39 | pulse <= not pulse;
|
40 | else
|
41 | count <= count + 1;
|
42 | end if;
|
43 | end if;
|
44 | end process;
|
45 |
|
46 | LED (3 downto 0) <= (others => pulse);
|
47 | end architecture_LED;
|