EmbDev.net

Forum: FPGA, VHDL & Verilog VHDL looping query


von Ana A. (ana)


Attached files:

Rate this post
useful
not useful
I have a list of constants as shown in the image attached. They are 
record type. I am trying to run a loop in which I can access all of them 
one by one. Could somebody suggest a way to do that?

I am trying something like :

for i in 0 to 5 loop
obj(i).........
end loop;

von Sigi (Guest)


Rate this post
useful
not useful
You have to build an array of constants of type
<objecttype> and assign each element one of your
object constants (two definitions, one for the
array type, one for the array incl. your constants).

The next step is to loop over all ellements of
this array of constants.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.