EmbDev.net

Forum: FPGA, VHDL & Verilog ADC application with Spartan 3E


von Nirav B. (Company: Uvic) (nirav_bhatt)


Rate this post
useful
not useful
Hello All,

I am beginner in F.P.G.A environment. I want to use a potentiometer to 
the inbuilt ADC of spartan 3E and used the digital output to control a 
paramenter in my code.
Can anyone help me how to proceed?It would be a great help
I have the board and potentiometer.

von Gustl B. (-gb-)


Rate this post
useful
not useful
There is no ADC in an Spartan 3E. You could use an rotary Encoder.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.