I'm not very good with VHDL so i was hoping someone could help me design a controller for a pico processor. I have the empty architecture code as: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity pico_cntrl is Port ( clk : in std_logic; rst : in std_logic; go : in std_logic; ir : in std_logic_vector(3 downto 0); ld_in : out std_logic; ld_out : out std_logic; sel : out std_logic; ld_ir : out std_logic; ld_a : out std_logic; ld_b : out std_logic; ld_ccr : out std_logic; ld_tmp : out std_logic); end pico_cntrl; architecture Behavioral of pico_cntrl is begin end Behavioral;. The instruction set I am trying to impliment are ADD, SUB, AND, OR, CMPL, IN A, OUT A, MOV, STOP. Thanks very much!
I was hoping that someone could help me get started. I don't know where to even begin .
Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
Log in with Google account
No account? Register here.