EmbDev.net

Forum: FPGA, VHDL & Verilog UART interface on FPGA


von jeorges F. (Company: xlue) (khal1985)


Attached files:

Rate this post
useful
not useful
Hi all,

I tried to connect my FPGA with my laptop using the serial port(UART in 
this case). In the laptop side, an UART to USB is used.
First of all, to test my communication, I analyse the TX line using a 
logical analyzer. The problem is that my frame is not stable, sometimes 
i observe a wrong frame instead of the one sent.
I will join the source files used for that purpose.
Can someone help to resolve the issue.
Thanks in advance.
Best regards

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.