EmbDev.net

Forum: FPGA, VHDL & Verilog generate random bit function


von Mike (Guest)


Rate this post
useful
not useful
Hello, I'm looking for a function that I call from a testbench and 
returns a random std_logic. Someone can help me? Thanks

von Der Besucher (Guest)


Rate this post
useful
not useful
This an example with UNIFORM function from math_real library.

http://www.markharvey.info/vhdl/rnd/rnd.html

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.