EmbDev.net

Forum: FPGA, VHDL & Verilog Beginner problems Syntax error


von John M. (215)


Rate this post
useful
not useful
I am trying to make a DEC to 7 segment converter for 2 segment, but i am 
having some problems with the syntax.
1
signal counter_1r: std_logic_vector (7 downto 0);
2
signal counter_1: integer range 0 to 9;
3
counter_1r  <= "11000000" when counter_1 = 0 else
4
        <= "11111001" when counter_1 = 1 else
5
        <= "10100100" when counter_1 = 2 else
6
        <= "10110000" when counter_1 = 3 else
7
        <= "10011001" when counter_1 = 4 else
8
        <= "10010010" when counter_1 = 5 else
9
        <= "10000010" when counter_1 = 6 else
10
        <= "11111000" when counter_1 = 7 else
11
        <= "10000000" when counter_1 = 8 else
12
        <= "10010000" when counter_1 = 9 else
13
        "00000000";
I am getting a error message saying that there is a syntax error near 
"<="..
Which i don't get.  Counter_1 is getting incremented, and counter_1r 
runs concurrently, (not in a process).

So why am i getting this error?

von Jan M. (mueschel)


Rate this post
useful
not useful
There's no '<=' allowed after each of the 'else':
1
counter_1r  <= "11000000" when counter_1 = 0 else
2
        "11111001" when counter_1 = 1 else
3
        ...

von John M. (215)


Rate this post
useful
not useful
Thanks alot :)

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.