EmbDev.net

Forum: FPGA, VHDL & Verilog sorter in vhdl


von basma (Guest)


Rate this post
useful
not useful
Hi All;
i want to design vhdl code for sorter.
the idea for the sorter is as the following
i have input data which is std_logic vector(0 to 7) and every input has 
its time i.e if i have input 010111001 its time is 20 ns ....etc
and i want to sort every input according its time
how i can make it in vhdl code.every-help will e thankful for it

von Alexander F. (alexf91)


Rate this post
useful
not useful
I'm not sure what you mean when you say "every input has it's time", but 
this will probably help you:
http://www.lothar-miller.de/s9y/archives/78-Bubblesort.html

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.