Thanks
1 | library ieee;
|
2 | use ieee.std_logic_1164.all;
|
3 |
|
4 |
|
5 | entity tristate_buffer is
|
6 | port (enable: in std_logic;
|
7 | d_in: in std_logic_vector ( 7 downto 0 ) ;
|
8 | d_out: out std_logic_vector (7 downto 0 )) ;
|
9 | end tristate_buffer;
|
10 |
|
11 | architecture behavioral of tristate_buffer is
|
12 | begin
|
13 | process (enable,d_in)
|
14 | begin
|
15 | if (enable = '1') then
|
16 | d_out <= d_in;
|
17 | else
|
18 | d_out <= ("zzzzzzzz");
|
19 | end if;
|
20 | end process;
|
21 | end behavioral;
|
now the program is like this.
but new errors are coming like
tri_state_buffer.vhd(19): String literal has a character 'z' not in the
enumeration type ieee.std_logic_1164.std_logic.
tri_state_buffer.vhd(22): VHDL Compiler exiting
pls help