EmbDev.net

Forum: FPGA, VHDL & Verilog How can I print line numbers and file names in a VHDL testbench?


von Martin S. (stmartin81)


Rate this post
useful
not useful
Hello,

I'm working on a VHDL testbench where I use assert statements to check 
if the returned result is as expected. If a tests fails I would like to 
know in which line the test failed.

I'm using ISIM from Xilinx which doesn't print any line numbers for 
report statements.

Does anyone know if there exists a predefined function which returns the 
current line number?


Best regards
Martin

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.