EmbDev.net

Forum: FPGA, VHDL & Verilog Alarme residencial em vhdl


von Tayná (Guest)


Rate this post
useful
not useful
Como fazer um alarme residencial na linguagem VHDL? Um alarme com uma 
chave (on/off), 3 sensores e uma sirene.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
VHDL itself cannot give alarm. So there is some information missing...

What kind of sensors?
What function has the switch?
What should happen with the siren?
And then more detailed:
Why VHDL?
What target (fpga/cpld)?
Is there a clock on the system?
How is the power supply?
Is this homework for school?



BTW: Pls. try to communicate english. Very few people speak and 
understand Portugese.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.