EmbDev.net

Forum: FPGA, VHDL & Verilog how to generate a RANDOM NUMBER in VHDL


von deepak s. (dksagra)


Rate this post
useful
not useful
hi,
please tell me how to generate a RANDOM NUMBER in VHDL

von Christoph S. (mixer)


Rate this post
useful
not useful
Hi,

if you just simulate a VHDL code you can use the UNIFORM procedure, but 
if you want to use random numbers on real Hardware you may could use a 
linear feedback shift register.

Greets

von deepak s. (dksagra)


Rate this post
useful
not useful
if have to use it on HARDWARE..

Best Regards

von user (Guest)


Rate this post
useful
not useful

von Hotte (Guest)


Rate this post
useful
not useful
This is no random number. These numbers are dedicated and only hard to 
estimate. real random numbers can only ge generated from non dedicated 
information sources like temprature, radiation and such thigs.

you have to scan your environment and bring them into your system with 
an ADC influencing random number generators perhaps.

you can find an approch here in this forum at:
http://www.mikrocontroller.net/articles/Digitaler_Zufallszahlengenerator_in_VHDL 
(german only)

von Raghavendra B. (raghavendra_b98)


Rate this post
useful
not useful
Random number in VHDL in opencore project.

http://opencores.org/project,rng_lib

von patu (Guest)


Rate this post
useful
not useful
Do you need the random for security eg. crypto ?

von Raghavendra B. (raghavendra_b98)


Rate this post
useful
not useful
I don't no about security.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.