EmbDev.net

Forum: FPGA, VHDL & Verilog Nested if rising_edge(clk) statements?


von Anthony (Guest)


Rate this post
useful
not useful
I've come across this in some code, and I'm really curious what it does:

if rising_edge(clk) THEN

   --do some flip flop things

   if rising_edge(clk)THEN

      --do other flip flop things
   end if;
end if;

Does this code make it take 2 rising edges to get to the "inner" if 
statement? or is the second if statement completely redundant?

Thanks, any help is appreciated.

von user (Guest)


Rate this post
useful
not useful
the second statement, reacts on the same condition, so it is redundant 
and can be removed

von user2 (Guest)


Rate this post
useful
not useful
No this wait-statement cannot be just removed because it is in no wy 
redundant. The simulator awaits there the next rising edge to process 
the next few statements.
The resulting hardware realisation depends on your specific synthesiser. 
It is not unlikely that this is not synthesisable, too.

von user2 (Guest)


Rate this post
useful
not useful
Ah, sorry, I am just a bit too tired and read an wait until instead of 
an if.. then.
You are right, of course!

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.