EmbDev.net

Forum: FPGA, VHDL & Verilog Help needed for Orange Ethernet Module ZestET1


von Antonino F. (Company: INFN) (afamulari)


Rate this post
useful
not useful
Hello!

Anybody there who used this Eth module (Orange ZestET1)?
I'd need some help about how to approach it, I mean:

After reading the User Guide, I still have some doubt about how  to use 
the examples contained in the CD ROM they deliver together with the 
board.

I'm a low experience  FPGA user.

Thanks in advance!

Antonino Famulari

von Cayetano S. (Company: iphc) (csantos)


Rate this post
useful
not useful
Hello Antonino,

    I'm interested in this Orange ZestET1. If you still need help and if 
I could have a look to this User Guide and the CD ROM, it would be 
possible for me to give you a hand on how to use it.

Csb

von Mohd N. (Company: Quantum Beez Sdn Bhd) (nazrin)


Rate this post
useful
not useful
Hi...
I also start using Orange ZestET1.
I ran their tutorial in Example 1 (inside CD software) to test 
"Searching for ZestET1 cards" but result shows "0 available cards in the 
system".
I'm following their IP address 192.168.100, subset 255.255.255.0 and 
gateway 192.168.0.1. My PC able to detect 1Gbps connection, able to ping 
but however on ZestET1 Control Panel unable to detect it using cross 
cable Ethernet.

I'm using ISE ver 11, Windows XP, Visual C++ 2008 Express Edition.


Anything i'm missing during setup this board,& software.

von John (Guest)


Rate this post
useful
not useful
1: you should put ExampleX.bit file beside the ExampleX.exe
2: You should determine your pc LAN connection setting, arbitrary IP 
address initiating 192.168.1.X
3: after running the .exe file, it programs ZestET1, then it searches 
and finds the card
4: it doesn't matter which version of ISE you use. In teh first step you 
can use ZESTET1 examples bit files and when you properly run the ZESTET1 
you can use your own project bit files.
5: wish u the best

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.