EmbDev.net

Forum: FPGA, VHDL & Verilog factional sorter


von basma (Guest)


Rate this post
useful
not useful
hi all
if i have two input first for codes std_logic_vector ( 0 to 7)
and the second for its time which is in integer type ,such as
00000001 ------> 125 ms
00010010 ------> 100 ms
01000100 ------> 200 ms
01111000 ------> 147 ms
and i want to sort them as the following
00010010 ------> 100 ms
00000001 ------> 125 ms
01111000 ------> 147 ms
01000100 ------> 200 ms
so, i want to sort the second input in ascending order and the 
corresponding firt input data to it
any idea plz
sorry for bad english.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.