//`include "bram.v" module tb; // Declare a variable to store the file handler integer fd,fe; reg [7:0] mem[0:255]; reg [7:0] my_var; initial begin // Open a new file by the name "my_file.txt" // with "write" permissions, and store the file // handler pointer in variable "fd" fd = $fopen("ghi.txt", "r+"); $fdisplay (fd, " gia tri bo nho bram"); //create new file fe= $fopen ("regdata.txt","wb"); my_var =0; #10 my_var = 8'h1A; $fdisplay(fe,my_var); $fdisplayb(fe,my_var); $fdisplayo(fe,my_var); $fdisplayh(fe,my_var); $readmemb(fd,mem); // Su dung bien so fd cho nhung cau lenh doc file phia sau // Close the file handle pointed to by "fd" #10 $fclose(fd); //$finish(1); end endmodule