LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY testbench IS END testbench; ARCHITECTURE behavior OF testbench IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT functionT PORT( clk : IN std_logic; switches : IN std_logic_vector(9 downto 0); output : OUT std_logic_vector(9 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal switches : std_logic_vector(9 downto 0) :=("0011011110"); --A=222 --Outputs signal output : std_logic_vector(9 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: functionT PORT MAP ( clk => clk, switches => switches, output => output ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here wait; end process; END;