Forum: FPGA, VHDL & Co. Problem Textio


You were forwarded to this site from EmbDev.net. Back to EmbDev.net
von Heino (Gast)


Lesenswert?

Ich will mit folgendem VHDL-Code 48 Bit Hex aus einer Zeile einer
Textdatei lesen und die Werte in eine andere Datei schreiben.Das
Problem ist,bis 40 Bit funktioniert das wunderbar.Bei 48 Bit bekomme
ich die Fehlermeldung "at 0 ns: Error: HREAD Error: Failed to read the
STRING".Vielleicht hat hier jemand eine Idee,woran das liegen könnte.

Mfg

Heino


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_textio.all;
use std.textio.all;

entity test is
end;

architecture behave of test is

   subtype WORD is std_logic_vector(7 downto 0);

begin
   fillram : process
      variable invec       : WORD;
      variable line_in     : line;
    variable line_out    : line;

      file in_txt    : text is in "E:/Input.txt";
    file out_txt   : text is out "E:/output.txt";

   begin

      readline(in_txt,line_in);
    for i in 0 to 5 loop
         hread(line_in,invec);
      hwrite(line_out,invec);
    end loop;
    writeline(out_txt,line_out);
      wait;
   end process;
end;

von Jörn (Gast)


Lesenswert?

Hallo Heino,

wie sieht deine input.txt aus?

Gruß Jörn

von Heino (Gast)


Lesenswert?

Hallo Jörn!

Hab in einem lichten Moment kurz vor Feierabend den Fehler selbst
endeckt.Ich hatte vergessen, die Zeile in meiner Input.txt mit Enter
abzuschließen,sprich der Cursor stand am Ende der Zeile. Seitdem ich
das behoben habe, kann ich so viel aus der Zeile lesen, wie ich
möchte.

Gruß!

Heino

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.