EmbDev.net

Forum: FPGA, VHDL & Verilog Vhdl file reading: reading integer(varying length) and converting to std_logic_vector


von felix89 (Guest)


Rate this post
useful
not useful
Hello all,

I have to read a file for a vhdl test bench.
the text file has integers in the range of 1 digit to 4 digits.

which textio function should i use for reading integer of varying length 
and covert this integer  to std_logic vector?.

eg:-
0
0
1
5
6
3
76
23
97
12
132
174
3452
4056

von Duke Scarring (Guest)


Rate this post
useful
not useful

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.